diff --git a/usbmuxd.vcxproj b/usbmuxd.vcxproj index d1d8a4d..086e8a4 100644 --- a/usbmuxd.vcxproj +++ b/usbmuxd.vcxproj @@ -135,7 +135,7 @@ Console true - ws2_32.lib;libusb-1.0.lib;libusb0.lib;pthreadsVC2d.lib;%(AdditionalDependencies) + ws2_32.lib;libusb-1.0.lib;libusb0.lib;pthreadVC3d.lib;%(AdditionalDependencies) @@ -149,7 +149,7 @@ Console true - ws2_32.lib;libusb-1.0.lib;libusb0.lib;pthreadsVC2d.lib;%(AdditionalDependencies) + ws2_32.lib;libusb-1.0.lib;libusb0.lib;pthreadVC3d.lib;%(AdditionalDependencies) @@ -168,7 +168,7 @@ true true true - ws2_32.lib;libusb-1.0.lib;libusb0.lib;pthreadsVC2.lib;%(AdditionalDependencies) + ws2_32.lib;libusb-1.0.lib;libusb0.lib;pthreadVC3.lib;%(AdditionalDependencies) @@ -186,7 +186,7 @@ true true true - ws2_32.lib;libusb-1.0.lib;libusb0.lib;pthreadsVC2.lib;%(AdditionalDependencies) + ws2_32.lib;libusb-1.0.lib;libusb0.lib;pthreadVC3.lib;%(AdditionalDependencies)